MAKEFLAGS += --no-builtin-rules .SUFFIXES: .PHONY: all clean lib bins verify all: lib bins example.bin test.bin bench.bin lib: Cargo.toml Cargo.lock src/*.rs cargo build --release --lib bins: Cargo.toml Cargo.lock .cargo/config.toml app.lds lib src/main.rs src/test.rs src/bench.rs cargo build --release --bins test.bin: bins llvm-objcopy --input-target=elf32-littleriscv --output-target=binary target/riscv32i-unknown-none-elf/release/test $@ example.bin: bins llvm-objcopy --input-target=elf32-littleriscv --output-target=binary target/riscv32i-unknown-none-elf/release/example $@ bench.bin: bins llvm-objcopy --input-target=elf32-littleriscv --output-target=binary target/riscv32i-unknown-none-elf/release/bench $@ verify: cargo clippy clean: rm -f example.bin test.bin bench.bin cargo clean