#[test] fn test_add() { assert_eq!(tsfh::add(3, 2), 5); }