# THIS FILE IS AUTOMATICALLY GENERATED BY CARGO # # When uploading crates to the registry Cargo will automatically # "normalize" Cargo.toml files for maximal compatibility # with all versions of Cargo and also rewrite `path` dependencies # to registry (e.g., crates.io) dependencies # # If you believe there's an error in this file please file an # issue against the rust-lang/cargo repository. If you're # editing this file be aware that the upstream Cargo.toml # will likely look very different (and much more reasonable) [package] edition = "2018" name = "vhdl_parser" version = "0.13.0" authors = ["Olof Kraigher "] description = "VHDL Parser" license = "MPL-2.0" repository = "https://github.com/kraigher/rust_hdl" [dependencies.clap] version = "^2" [dependencies.dirs] version = "^2" [dependencies.dunce] version = "^1" [dependencies.fnv] version = "^1" [dependencies.glob] version = "^0" [dependencies.pad] version = "^0" [dependencies.parking_lot] version = "^0" [dependencies.rayon] version = "^1.3" [dependencies.toml] version = "^0" [dev-dependencies.assert_matches] version = "^1" [dev-dependencies.pretty_assertions] version = "^0" [dev-dependencies.tempfile] version = "^3" [features] default = [] packaged = []